The BAQ/Data Acquisition/Pulse Shape Analysis/FPGA Diary/メモ書き
もどる
● クロックソースになれない(GCLKにつながっていない)信号をクロックとして使う
グローバルクロック(GCLK)に接続されていない信号をクロックソースのように使おうとするとPlace & Route時にエラーを言われる。まず、UCFファイルに以下の行を追加しておく。HOGEというピンをクロックソースにする場合・・・
CLOCK_DEDICATED_ROUTE
NET "HOGE" CLOCK_DEDICATED_ROUTE = FALSE;
という行を追加しておく。また、VHDLでBUFGにつなげておく。(明記しなくてもコンパイラが勝手に追加してくれるが・・・)
-- architecture
component BUFG
port(
I : in std_logic;
O : out std_logic );
end component;
signal hogeclk : std_logic;
-- begin
HOGEBUFG : BUFG
port map (
I => HOGE,
O => hogeclk );
これでhogeclk = HOGEをクロックソースとして使える。
Last Update: 2009/9/16
Hidetada Baba
baba @ ribf.riken.jp